Электронно-лучевая литография - Electron-beam lithography

Пример установки электронно-лучевой литографии

Электронно-лучевая литография (часто сокращенно электронно-лучевая литография, EBL) - это практика сканирования сфокусированного луча электроны рисовать нестандартные формы на поверхности, покрытой электронно-чувствительной пленкой, называемой сопротивляться (разоблачая).[1] Электронный пучок изменяет растворимость резиста, позволяя выборочно удалять открытые или неоткрытые участки резиста путем его погружения в растворитель (проявление). Цель, как и в случае с фотолитография, заключается в создании очень маленьких структур в резисте, которые впоследствии могут быть перенесены на материал подложки, часто путем травления.

Основное преимущество электронно-лучевой литографии заключается в том, что она может рисовать пользовательские узоры (прямая запись) с разрешение менее 10 нм. Эта форма литография без маски имеет высокое разрешение и низкую пропускную способность, что ограничивает его использование фотомаска изготовление, мелкосерийное производство полупроводниковых приборов и исследования и разработки.

Системы

Системы электронно-лучевой литографии, используемые в коммерческих приложениях, представляют собой специализированные системы записи с использованием электронного луча, которые очень дороги (> 1 млн долларов США). Для исследовательских приложений очень распространено преобразование электронный микроскоп в систему электронно-лучевой литографии с использованием относительно недорогих принадлежностей (<100 тыс. долларов США). Такие преобразованные системы обеспечивали ширину линий ~ 20 нм по крайней мере с 1990 года, в то время как существующие специализированные системы производили ширину линий порядка 10 нм или меньше.

Системы электронно-лучевой литографии можно классифицировать как по форме луча, так и по стратегии отклонения луча. Более старые системы использовали лучи гауссовой формы и сканировали эти лучи в растровой форме. В более новых системах используются профилированные балки, которые можно отклонять в различные положения в поле для письма (это также известно как векторное сканирование).

Источники электронов

Системы с более низким разрешением могут использовать термоэлектронный источников, которые обычно формируются из гексаборид лантана. Однако системы с требованиями к более высокому разрешению должны использовать полевая электронная эмиссия источники, такие как нагретый W / ZrO2 для меньшего разброса энергии и повышенной яркости. Источники теплового поля излучения предпочтительнее источников холодного излучения, несмотря на несколько больший размер пучка первых, поскольку они обеспечивают лучшую стабильность в течение типичного времени записи, составляющего несколько часов.

Линзы

Могут использоваться как электростатические, так и магнитные линзы. Однако электростатические линзы имеют больше аберраций и поэтому не используются для точной фокусировки. В настоящее время[когда? ] нет механизма для создания ахроматических линз электронного пучка, поэтому для точной фокусировки необходимы чрезвычайно узкие дисперсии энергии электронного пучка.[нужна цитата ][нуждается в обновлении ]

Этап, сшивка и выравнивание

Полевая строчка. Сшивание - это проблема, связанная с критическими элементами, пересекающими границу поля (красная пунктирная линия).

Обычно для очень малых отклонений луча используются «линзы» электростатического отклонения, для больших отклонений луча требуется электромагнитное сканирование. Из-за неточности и из-за конечного числа шагов в сетке экспонирования поле записи составляет порядка 100 микрометров - 1 мм. Более крупные модели требуют движений сцены. Точная стадия имеет решающее значение для вышивания (выравнивание полей записи точно друг против друга) и наложения рисунка (совмещения рисунка с ранее созданным).

Время записи электронного луча

Минимальное время облучения данной области для данной дозы определяется по следующей формуле:[2]

куда время экспонирования объекта (можно разделить на время экспонирования / размер шага), - ток пучка, это доза и выставленная площадь.

Например, предполагая, что площадь экспонирования составляет 1 см.2, доза 10−3 кулонов / см2, ток пучка 10−9 ампер, минимальное время записи составит 106 секунд (около 12 дней). Это минимальное время записи не включает время для перемещения столика вперед и назад, а также время для гашения луча (блокирование от пластины во время отклонения), а также время для других возможных корректировок луча и регулировок в середине. письма. Чтобы покрыть 700 см2 площадь поверхности кремниевой пластины 300 мм, минимальное время записи увеличится до 7 * 108 секунд, около 22 лет. Это примерно в 10 миллионов раз медленнее, чем у современных инструментов оптической литографии. Понятно, что пропускная способность - серьезное ограничение для электронно-лучевой литографии, особенно при написании плотных узоров на большой площади.

Электронно-лучевая литография не подходит для крупносерийного производства из-за ее ограниченной производительности. Меньшее поле записи электронного луча обеспечивает очень медленное создание рисунка по сравнению с фотолитографией (текущий стандарт), потому что для формирования окончательной области рисунка необходимо сканировать больше полей экспонирования (≤ мм2 для электронного луча против ≥40 мм2 для проекционного сканера оптических масок). Сцена перемещается между полевыми сканированиями. Поле электронного луча достаточно мало, чтобы растровое или змеевидное движение предметного столика потребовалось, например, для создания рисунка на площади 26 мм X 33 мм, тогда как в сканере фотолитографии только одномерное движение поля щели 26 мм X 2 мм будет требуется.

В настоящее время оптический литография без маски инструмент[3] намного быстрее, чем электронно-лучевой инструмент, используемый при том же разрешении для создания рисунка на фотошаблонах.

Дробовой шум

По мере уменьшения размеров элементов количество падающих электронов при фиксированной дозе также уменьшается. Как только число достигнет ~ 10000, дробовой шум эффекты становятся преобладающими, что приводит к существенному изменению естественной дозы в большой популяции объектов. С каждым последующим технологическим узлом, поскольку площадь объекта уменьшается вдвое, минимальная доза должна удваиваться, чтобы поддерживать тот же уровень шума. Следовательно, производительность инструмента будет уменьшаться вдвое с каждым последующим узлом процесса.

диаметр элемента (нм)минимальная доза для 5% погрешности дозы (мкКл / см2)
40127
28260
20509
141039
102037
74158

Примечание: 1 ppm населения - это примерно 5 стандартных отклонений от средней дозы.

Ссылка: SPIE Proc. 8683-36 (2013)

Дробовой шум играет важную роль даже при изготовлении маски. Например, в коммерческом электронно-лучевом резисте для масок, таком как FEP-171, используются дозы менее 10 мкКл / см.2,[4][5] тогда как это приводит к заметному дробовому шуму для целевого КД даже на уровне ~ 200 нм на маске.[6][7]

Дефекты электронно-лучевой литографии

Несмотря на высокое разрешение электронно-лучевой литографии, образование дефектов во время электронно-лучевой литографии часто не рассматривается пользователями. Дефекты можно разделить на две категории: дефекты, связанные с данными, и физические дефекты.

Дефекты, связанные с данными, можно разделить на две подкатегории. Гашение или же ошибки отклонения возникают, когда электронный луч не отклоняется должным образом, когда это предполагается, в то время как ошибки формирования возникают в системах с лучами переменной формы, когда на образец проецируется неправильная форма. Эти ошибки могут возникать либо из-за оборудования электронного оптического управления, либо из-за записанных на пленку входных данных. Как и следовало ожидать, файлы данных большего размера более подвержены дефектам, связанным с данными.

Физические дефекты более разнообразны и могут включать в себя зарядку образца (отрицательную или положительную), ошибки расчета обратного рассеяния, ошибки дозы, запотевание (отражение обратно рассеянных электронов на большие расстояния), выделение газа, загрязнение, дрейф луча и частицы. Поскольку время записи для электронно-лучевой литографии может легко превышать сутки, вероятность возникновения «случайно возникающих» дефектов выше. Здесь снова большие файлы данных могут предоставить больше возможностей для дефектов.

Дефекты фотошаблона в основном возникают во время литографии электронным лучом, используемой для определения рисунка.

Вложение энергии электронов в вещество

Электронные траектории в резисте: Падающий электрон (красный) производит вторичные электроны (синий). Иногда падающий электрон может сам рассеиваться обратно, как показано здесь, и покидать поверхность резиста (янтарного цвета).

Первичные электроны в падающем пучке теряют энергию при входе в материал через неупругое рассеяние или столкновения с другими электронами. При таком столкновении передача импульса от налетающего электрона атомному электрону может быть выражена как [8] , куда б - расстояние наибольшего сближения между электронами, а v - скорость налетающего электрона. Энергия, передаваемая при столкновении, определяется выражением , куда м - масса электрона и E энергия налетающего электрона, определяемая формулой . Путем интегрирования по всем значениям Т между самой низкой энергией связи, E0 и падающей энергии, получаем результат, что полная поперечное сечение при столкновении обратно пропорционально падающей энергии , и пропорционально 1 / E0 - 1 / E. В общем, E >> E0, поэтому результат по существу обратно пропорционален энергии связи.

Используя тот же подход интеграции, но в диапазоне 2E0 к E, сравнивая сечения, получаем, что половина неупругих столкновений падающих электронов производит электроны с кинетической энергией больше, чем E0. Эти вторичные электроны способны разорвать связи (с энергией связи E0) на некотором расстоянии от исходного столкновения. Кроме того, они могут генерировать дополнительные электроны с меньшей энергией, что приводит к электронный каскад. Следовательно, важно признать значительный вклад вторичных электронов в распределение энергии.

В общем, для молекулы AB:[9]

е + AB → AB → А + В

Эта реакция, также известная как «прилипание электрона» или «диссоциативное прикрепление электрона», наиболее вероятно произойдет после того, как электрон существенно замедлится до полной остановки, так как в этой точке его легче всего захватить. Поперечное сечение прилипания электронов обратно пропорционально энергии электронов при высоких энергиях, но приближается к максимальному предельному значению при нулевой энергии.[10] С другой стороны, уже известно, что длина свободного пробега при самых низких энергиях (от нескольких до нескольких эВ или меньше, где диссоциативное присоединение является значительным) значительно превышает 10 нм,[11][12] тем самым ограничивая возможность стабильного достижения разрешения в этом масштабе.

Возможность разрешения

Миграция электронов с низкой энергией. Расстояние (r), которое проходит электрон с низкой энергией, влияет на разрешение и может составлять не менее нескольких нанометров.

С современной электронной оптикой ширина электронного пучка может обычно уменьшаться до нескольких нанометров. Это ограничено в основном аберрации и космический заряд. Однако предел разрешения элемента определяется не размером пучка, а рассеянием вперед (или эффективным расширением пучка) в сопротивляться, а предел разрешения основного тона определяется вторичный электрон путешествовать в сопротивляться.[13][14] Этот момент был подтвержден демонстрацией в 2007 г. двойного формирования рисунка с использованием электронно-лучевой литографии при изготовлении зонных пластин с половинным шагом 15 нм.[15] Хотя элемент 15 нм был разрешен, шаг 30 нм все еще был затруднен из-за рассеяния вторичных электронов от соседнего элемента. Использование двойного паттерна позволило увеличить расстояние между элементами, чтобы значительно уменьшить вторичное рассеяние электронов.

Прямое рассеяние можно уменьшить, используя электроны с большей энергией или более тонкий резист, но генерация вторичные электроны неизбежно. Сейчас признано, что для изоляционных материалов, таких как ПММА, электроны с низкой энергией могут перемещаться на довольно большие расстояния (возможно несколько нм). Это связано с тем, что ниже потенциал ионизации единственный механизм потери энергии в основном через фононы и поляроны. Хотя последний в основном является эффектом ионной решетки,[16] прыжки поляронов могут достигать 20 нм.[17] Расстояние перемещения вторичные электроны не физическая величина, полученная фундаментально, а статистический параметр, часто определяемый в результате множества экспериментов или Моделирование Монте-Карло до <1 эВ. Это необходимо, поскольку энергетическое распределение вторичных электронов достигает максимума ниже 10 эВ.[18] Следовательно, предел разрешения обычно не указывается как фиксированное число, как в случае системы с ограничением оптической дифракции.[13] Воспроизводимость и контроль на практическом пределе разрешения часто требуют рассмотрения, не связанного с формированием изображения, например, проявление сопротивления и межмолекулярные силы.

Исследование Колледжа наноразмерных наук и инженерии (CNSE), представленное на семинаре EUVL 2013, показало, что в качестве меры размытия электронов электроны с энергией 50-100 эВ легко проникают за пределы толщины резиста 10 нм в PMMA или коммерческом резисте. Кроме того, возможен пробой диэлектрика.[19]

Рассеяние

Помимо производства вторичных электронов, первичные электроны падающего луча с энергией, достаточной для проникновения через резист, могут многократно рассеиваться на большие расстояния от нижележащих пленок и / или подложки. Это приводит к засветке участков, находящихся на значительном удалении от желаемого места экспонирования. Для более толстых резистов, когда первичные электроны движутся вперед, у них есть увеличивающаяся возможность рассеиваться вбок от места, определяемого лучом. Это рассеяние называется рассеяние вперед. Иногда первичные электроны рассеиваются под углами, превышающими 90 градусов, т.е. они больше не продвигаются дальше в резист. Эти электроны называются обратно рассеянные электроны и имеют тот же эффект, что и дальнобойные вспышка в оптических проекционных системах. Достаточно большая доза обратно рассеянных электронов может привести к полному обнажению резиста на площади, намного большей, чем определено пятном луча.

Эффект близости

Самые мелкие элементы, полученные с помощью электронно-лучевой литографии, обычно являются изолированными элементами, поскольку вложенные элементы усугубляют эффект близости, в результате чего электроны от экспонирования соседней области перетекают в экспонирование записанного в данный момент признака, эффективно увеличивая его изображение и уменьшая его контраст, то есть разницу между максимальной и минимальной интенсивностью. Следовательно, сложнее контролировать разрешение вложенных функций. Для большинства резистов трудно опускаться ниже 25 нм линий и промежутков, и был найден предел в 20 нм линий и промежутков.[20] В действительности же диапазон рассеяния вторичных электронов довольно велик, иногда превышая 100 нм.[21] но становится очень значительным ниже 30 нм.[22]

Эффект близости также проявляется в том, что вторичные электроны покидают верхнюю поверхность резиста и затем возвращаются на расстояние в несколько десятков нанометров.[23]

Эффекты близости (из-за рассеяния электронов) можно устранить, решив обратная задача и расчет функции экспозиции Е (х, у) что приводит к распределению дозы, максимально приближенному к желаемой дозе D (х, у) когда свернутый распределением рассеяния функция разброса точки PSF (x, y). Однако следует помнить, что ошибка в применяемой дозе (например, из-за дробового шума) приведет к сбою коррекции эффекта близости.

Зарядка

Поскольку электроны являются заряженными частицами, они имеют тенденцию заряжать подложку отрицательно, если они не могут быстро получить доступ к земле. Для пучка высокой энергии, падающего на кремниевую пластину, практически все электроны останавливаются в пластине, где они могут следовать по пути к земле. Однако для кварцевой подложки, такой как фотомаска, внедренным электронам потребуется гораздо больше времени, чтобы переместиться на землю. Часто отрицательный заряд, приобретаемый подложкой, может быть компенсирован или даже превышен положительным зарядом на поверхности из-за вторичной электронной эмиссии в вакуум. Наличие тонкого проводящего слоя над или под резистом, как правило, имеет ограниченное применение для электронных пучков с высокой энергией (50 кэВ или более), поскольку большинство электронов проходят через слой в подложку. Слой рассеивания заряда обычно используется только около 10 кэВ или ниже, поскольку резист тоньше, и большая часть электронов либо останавливается в резисте, либо близко к проводящему слою. Однако они имеют ограниченное применение из-за высокого сопротивления листа, что может привести к неэффективному заземлению.

Диапазон вторичных электронов с низкой энергией (самый большой компонент популяции свободных электронов в системе резист-подложка), которые могут вносить вклад в зарядку, не является фиксированным числом, но может варьироваться от 0 до 50 нм (см. Новые рубежи и литография в крайнем ультрафиолете ). Следовательно, зарядка резиста-подложки не повторяется, и ее сложно компенсировать постоянно. Отрицательная зарядка отклоняет электронный луч от заряженной области, а положительная зарядка отклоняет электронный луч в сторону заряженной области.

Характеристики электронного лучевого сопротивления

Из-за того, что эффективность разрыва обычно на порядок выше, чем эффективность сшивания, большинство полимеров, используемых для электронно-лучевой литографии с положительным тоном, будут сшиваться (и, следовательно, станут отрицательным тоном) при дозах на порядок величины, чем дозы, используемые для воздействия положительным тоном .[24] Такое большое увеличение дозы может потребоваться, чтобы избежать эффекта дробового шума.[25][26][27]

Исследование, проведенное в Военно-морской исследовательской лаборатории [28] показали, что электроны с низкой энергией (10–50 эВ) способны повреждать пленки ПММА толщиной ~ 30 нм. Ущерб проявился как материальная потеря.

  • Для популярного электронно-лучевого резиста ZEP-520 был найден предел разрешения шага 60 нм (30 нм линий и промежутков), независимо от толщины и энергии луча.[29]
  • Разрешение 20 нм также было продемонстрировано с использованием электронного пучка 3 нм 100 кэВ и резиста из ПММА.[30] Неэкспонированные промежутки в 20 нм между открытыми линиями свидетельствуют о случайном воздействии вторичных электронов.
  • Силсесквиоксан водорода (HSQ) - это резист отрицательного тона, который способен формировать изолированные линии шириной 2 нм и периодические точечные массивы 10 нм (шаг 10 нм) в очень тонких слоях.[31] Сам HSQ похож на пористый гидрированный SiO.2. Его можно использовать для травления кремния, но не диоксида кремния или других подобных диэлектриков.

В 2018 году был разработан тиоленовый резист, который имеет нативные реактивные поверхностные группы, что позволяет напрямую функционализировать поверхность резиста биомолекулами.[32]

Новые рубежи

Чтобы обойти вторичную генерацию электронов, необходимо будет использовать низкоэнергетические электроны в качестве первичного излучения для экспонирования резиста. В идеале эти электроны должны иметь энергию порядка не более нескольких эВ чтобы обнажить резист без генерации вторичных электронов, так как они не будут иметь достаточной избыточной энергии. Такое воздействие было продемонстрировано с использованием сканирующий туннельный микроскоп в качестве источника электронного пучка.[33] Данные показывают, что электроны с энергией всего 12 эВ могут проникать через полимерный резист толщиной 50 нм. Недостатком использования электронов с низкой энергией является то, что трудно предотвратить распространение электронного пучка в резисте.[34] Электронно-оптические системы с низким энергопотреблением также сложно разработать для обеспечения высокого разрешения.[35] Кулоновское межэлектронное отталкивание всегда становится более сильным при более низкой энергии электронов.

Литография сканирующего зонда. Сканирующий зонд может использоваться для литографии низкоэнергетическим электронным пучком, обеспечивая разрешение менее 100 нм, определяемое дозой низкоэнергетических электронов.

Другой альтернативой электронно-лучевой литографии является использование чрезвычайно высоких энергий электронов (не менее 100 кэВ) для «сверления» или распыления материала. Это явление часто наблюдается в просвечивающая электронная микроскопия.[36] Однако это очень неэффективный процесс из-за неэффективной передачи импульса от электронного луча материалу. В результате это медленный процесс, требующий гораздо большего времени экспонирования, чем обычная электронно-лучевая литография. Также лучи высокой энергии всегда вызывают беспокойство о повреждении основания.

Интерференционная литография Использование электронных лучей - еще один возможный путь для формирования массивов с периодами нанометрового масштаба. Ключевое преимущество использования электронов перед фотонами в интерферометрия - гораздо более короткая длина волны при той же энергии.

Несмотря на различные сложности и тонкости электронно-лучевой литографии при разных энергиях, она остается наиболее практичным способом сконцентрировать большую часть энергии на минимальной площади.

Был проявлен значительный интерес к разработке подходов к литографии с использованием нескольких пучков электронов с целью увеличения производительности. Работа поддержана SEMATECH и начинающие компании, такие как Multibeam Corporation,[37] Картограф[38] и IMS.[39] IMS Nanofabrication коммерциализировала многолучевой маскрайтер и начала внедрение в 2016 году. [40]

Смотрите также

Рекомендации

  1. ^ McCord, M. A .; М. Дж. Рукс (2000). "2". Справочник SPIE по микролитографии, микрообработке и микротехнологии.
  2. ^ Паркер, Н. У .; и другие. (2000). «Высокопроизводительная система электронно-лучевой литографии с прямой записью NGL». Proc. SPIE. Новые литографические технологии IV. 3997: 713. Дои:10.1117/12.390042.
  3. ^ Более быстрая и низкая стоимость создания рисунка на фотомаске 65 нм и 45 нм[мертвая ссылка ]
  4. ^ М. Л. Кемпселл и другие., J. Microlith / Nanolith. MEMS MOEMS, т. 8, 043001 (2009).
  5. ^ Х. Сунаоши и другие., Проф. SPIE об. 6283, 628306 (2006).
  6. ^ К. Угаджин и другие., Proc. SPIE vol. 6607, 66070A (2007).
  7. ^ Ф. Т. Чен и другие., Proc. SPIE vol. 8683, 868311 (2013).
  8. ^ Л. Фельдман; Дж. Майер (1986). Основы анализа поверхности и тонких пленок. 54. С. 130–133. ISBN  978-0-444-00989-0.
  9. ^ Мейсон, Найджел Дж; Dujardin, G; Гербер, G; Gianturco, F; Maerk, T. D. (январь 2008 г.). "EURONanochem - Химический контроль в наномасштабе". Словенское исследовательское агентство. Европейский космический фонд. В архиве из оригинала от 20.07.2011.
  10. ^ Стоффельс, E; Стоффельс, Вт Вт; Крузен, Г. М. З. (2001). «Плазмахимия и поверхностные процессы отрицательных ионов». Наука и технологии источников плазмы. 10 (2): 311. Bibcode:2001PSST ... 10..311S. CiteSeerX  10.1.1.195.9811. Дои:10.1088/0963-0252/10/2/321.
  11. ^ Seah, M. P .; Денч, В. А. (1979). «Количественная электронная спектроскопия поверхностей: стандартная база данных для электронной неупругой длины свободного пробега в твердых телах». Поверхностный и интерфейсный анализ. 1: 2. Дои:10.1002 / sia.740010103.
  12. ^ Tanuma, S .; Powell, C.J .; Пенн, Д. Р. (1994). «Расчеты неупругих длин свободного пробега электронов. V. Данные для 14 органических соединений в диапазоне 50–2000 эВ». Поверхностный и интерфейсный анализ. 21 (3): 165. Дои:10.1002 / sia.740210302.
  13. ^ а б Broers, A. N .; и другие. (1996). «Электронно-лучевая литография. Пределы разрешения». Микроэлектронная инженерия. 32 (1–4): 131–142. Дои:10.1016/0167-9317(95)00368-1.
  14. ^ К. В. Ли (2009). «Генерация вторичных электронов в твердых телах, облученных электронным пучком: пределы разрешения для нанолитографии». J. Kor. Phys. Soc. 55 (4): 1720. Bibcode:2009JKPS ... 55.1720L. Дои:10.3938 / jkps.55.1720. Архивировано из оригинал на 22.07.2011.
  15. ^ SPIE Newsroom: Двойная экспозиция обеспечивает плотную дифракционную оптику высокого разрешения. Spie.org (3 ноября 2009 г.). Проверено 27 августа 2011.
  16. ^ Dapor, M .; и другие. (2010). «Моделирование методом Монте-Карло в низкоэнергетической области вторичной электронной эмиссии полиметилметакрилата для сканирующей электронной микроскопии критических размеров». J. Micro / Nanolith. МЭМС МОЭМЫ. 9 (2): 023001. Дои:10.1117/1.3373517.
  17. ^ П. Т. Хендерсон; и другие. (1999). «Перенос заряда на большие расстояния в дуплексной ДНК: механизм прыжков, подобный полярону с помощью фононов». Proc. Natl. Акад. Sci. СОЕДИНЕННЫЕ ШТАТЫ АМЕРИКИ. 96 (15): 8353–8358. Bibcode:1999PNAS ... 96.8353H. Дои:10.1073 / пнас.96.15.8353. ЧВК  17521. PMID  10411879.
  18. ^ Х. Зайлер (1983). «Вторичная электронная эмиссия в растровом электронном микроскопе». J. Appl. Phys. 54 (11): R1 – R18. Bibcode:1983JAP .... 54R ... 1S. Дои:10.1063/1.332840.
  19. ^ Ж. Денбо и другие., 2013 Международный семинар по EUV-литографии.
  20. ^ Дж. А. Лиддл; и другие. (2003). «Требования к резисту и ограничения для наноразмерного формирования электронно-лучевой структуры». Mater. Res. Soc. Symp. Proc. 739 (19): 19–30.
  21. ^ Ивин, В (2002). «Включение вторичных электронов и тормозного рентгеновского излучения в модель сопротивления электронного пучка». Микроэлектронная инженерия. 61–62: 343. Дои:10.1016 / S0167-9317 (02) 00531-2.
  22. ^ Ямазаки, Кендзи; Курихара, Кенджи; Ямагути, Тору; Намацу, Хидео; Нагасе, Масао (1997). «Новый эффект близости, включая развитие резиста в зависимости от структуры в электронно-лучевой нанолитографии». Японский журнал прикладной физики. 36 (12В): 7552. Bibcode:1997JaJAP..36.7552Y. Дои:10.1143 / JJAP.36.7552.
  23. ^ Renoud, R; Аттард, С; Ganachaud, JP; Бартоломе, S; Дубус, А (1998). «Влияние на выход вторичных электронов объемного заряда, индуцированного в изолирующей мишени электронным пучком». Журнал физики: конденсированное вещество. 10 (26): 5821. Bibcode:1998JPCM ... 10.5821R. Дои:10.1088/0953-8984/10/26/010.
  24. ^ J. N. Helbert et al., Макромолекулы, т. 11, 1104 (1978).
  25. ^ М. Дж. Виланд и другие., Proc. SPIE vol. 7271, 72710O (2009)
  26. ^ Ф. Т. Чен и другие., Proc. SPIE vol. 8326, 83262L (2012 г.)
  27. ^ П. Круит и другие., J. Vac. Sci. Tech. В 22, 2948 (2004).
  28. ^ Бермудес, В. М. (1999). «Эффекты низкоэнергетического электронного луча на полиметилметакрилатных пленках резиста». Журнал вакуумной науки и техники B. 17 (6): 2512. Bibcode:1999JVSTB..17.2512B. Дои:10.1116/1.591134.
  29. ^ Х. Ян и другие., Труды 1-го IEEE Intl. Конф. по нано / микротехнике и молекулярным системам, стр. 391–394 (2006).
  30. ^ Камминг, Д. Р. С .; Thoms, S .; Beaumont, S.P .; Уивер, Дж. М. Р. (1996). «Изготовление проволоки 3 нм с использованием литографии электронным пучком 100 кэВ и полиметилметакрилатного резиста». Письма по прикладной физике. 68 (3, ) Центр нанотехнологий Джеймса Ватта ): 322. Bibcode:1996АпФЛ..68..322С. Дои:10.1063/1.116073.
  31. ^ Manfrinato, Vitor R .; Чжан, Лихуа; Су, Донг; Дуань, Хуйгао; Хоббс, Ричард Дж .; Стах, Эрик А.; Берггрен, Карл К. (2013). «Пределы разрешения электронно-лучевой литографии в атомном масштабе» (PDF). Nano Lett. 13 (4): 1555–1558. Bibcode:2013НаноЛ..13.1555М. Дои:10.1021 / nl304715p. PMID  23488936.
  32. ^ Шафаг, Реза; Вестессон, Александр; Го, Вэйцзинь; ван дер Вейнгарт, Воутер; Харальдссон, Томми (2018). «Электронно-лучевая наноструктурирование и биофункциональная обработка тиол-энэрезиста прямым щелчком». САУ Нано. 12 (10): 9940–9946. Дои:10.1021 / acsnano.8b03709. PMID  30212184.
  33. ^ К. Р. К. Марриан (1992). «Электронно-лучевая литография на сканирующем туннельном микроскопе». Журнал вакуумной науки и техники. 10 (B): 2877–2881. Bibcode:1992JVSTB..10.2877M. Дои:10.1116/1.585978.
  34. ^ Т. М. Майер; и другие. (1996). «Автоэмиссионные характеристики сканирующего туннельного микроскопа для нанолитографии». Журнал вакуумной науки и техники. 14 (B): 2438–2444. Bibcode:1996JVSTB..14.2438M. Дои:10.1116/1.588751.
  35. ^ Л. С. Хордон; и другие. (1993). «Пределы оптики низкоэнергетических электронов». Журнал вакуумной науки и техники. 11 (B): 2299–2303. Bibcode:1993JVSTB..11.2299H. Дои:10.1116/1.586894.
  36. ^ Egerton, R. F .; и другие. (2004). «Радиационные повреждения в ТЭМ и РЭМ». Микрон. 35 (6): 399–409. Дои:10.1016 / j.micron.2004.02.003. PMID  15120123.
  37. ^ Multibeam Corporation. Multibeamcorp.com (04.03.2011). Проверено 27 августа 2011.
  38. ^ Картографическая литография В архиве 2016-12-20 в Wayback Machine. Картографическая литография (18 января 2010 г.). Проверено 27 августа 2011.
  39. ^ IMS Нанофабрики. IMS Nanofabrication (07.12.2011). Проверено 28 февраля 2019.
  40. ^ IMS Нанофабрики. IMS Nanofabrication (07.12.2011). Проверено 28 февраля 2019.